2014年2月25日

原创:使用bat+do+Tcl脚本自动执行Modelsim前仿真

摘要: 在使用modelsim进行前仿真时,为了便于操作和节省时间,可以使用脚本的方式自动执行整个仿真过程,并自动生成覆盖率报告文件等。需要几个文件:1.源文件(设计文件,design under test/DUT)2.testbench文件(激励文件,产生一系列激励用于测试源文件)3.*.do文件 (用于... 阅读全文

posted @ 2014-02-25 10:50 itravel 阅读(1945) 评论(0) 推荐(1) 编辑

导航