2017年8月22日

FPGA之Verilog点灯小程序

摘要: 话不多说,直接做介绍: 首先个人用的是QuartusII11.0,开发板用的是CycloneIV的EP4CE6E22C8N芯片。 led灯使用的是低电平有效; 要执行的功能是:上电灯就亮,这里使用的是四个led灯; 具体代码如下: 由于功能上是只要上电就亮,所以就不需要时序控制了,那么就是一个组合逻 阅读全文

posted @ 2017-08-22 16:17 大道至简,知易行难! 阅读(2948) 评论(0) 推荐(0) 编辑

FPGA学习入门

摘要: 首先,FPGA开发工程师是一个相对高薪的工作,但是,很多同学在刚入门时都会有一种无从下手的感觉,尤其是将FPGA作为第一个要掌握的开发板时,更是感觉苦恼;本人也是如此,以下就本人学习FPGA开发的一些情况做一些介绍,希望后来者能够少走弯路。 第一次接触FPGA是在本科毕设中,那时候选毕设课题得用抢的 阅读全文

posted @ 2017-08-22 16:07 大道至简,知易行难! 阅读(16718) 评论(1) 推荐(4) 编辑

导航