摘要: 时序逻辑中的三种简单触发器,使用Verilog语言编写,用来熟悉语法最好不过了。 D触发器 module D_LOCK(D,CLK,Q,NQ); //正边沿D触发 output Q; output NQ; input D; input CLK; //时序赋值 reg Q; assign NQ=~Q; 阅读全文
posted @ 2020-03-31 18:15 素质教育漏网之鱼 阅读(3697) 评论(0) 推荐(0) 编辑