[CU]IC仿真makefile脚本示例2

...
MODEL_PATH=-f model_ahb_list
RTL_PATH=-f ../../rtl/rtl_ahb.list
TB_PATH=../../verif
VERB=UVM_DEBUG
OUTPUT_DIR=./logs
N=1
TEST_ID+=${TEST}_${N}
VPD=+vpdfile+${TEST_LOG}/${TEST_ID}.vpd
TEST_LOG=${OUTPUT_DIR}/${TEST_ID}
SEED=1
BUS_ARG=0
HIGH_SPEED=0
PERIOD=10
BLOCK_LEN=512
SOFT_RST=1
DIVIDER=1
FLAG=0
COV=-cm line+cond+fsm_tgl -cm_dir ./logs/cov
COV+=-cm_hier exclude_coverage.fl
TEST=cmd_test_multiblock_read
...

all: comp run

comp:
  vcs -sverilog -ntb_opts uvm -debug_all +define+SD \
  -timescale=1ns/1ps $(RTL_PATH) -v2005 +v2k +vcs+lic+wait \
  $(MODEL_PATH) $(COV) \
  +incdir+../tb \
  +incdir+../agent/ahb \
  +incdir+../agent/sdio \
  +incdir+../tests \
  +incdir+../tests/seqlib \
  +incdir+../env \
  ../env/reg_model/ahb_reg_model.sv \
  ../env/reg_model/ahb_reg_block.sv \
  ../agent/ahb/ahb_pkg.sv \
  ../agent/sdio/sdio_pkg.sv \
  ../agent/sdio/sdio_if.sv \
  ../agent/sdhost_env_pkg.sv \
  ../tests/sdhost_test_pkg.sv \
  ../tb/sdhost_tb.sv \
  -l comp.log

run:
  rm -rf logs/${TEST_ID}
  mkdir logs/${TEST_ID}
  ./simv _notimingcheck +nospecify +delay+mode_unit \
  +bus_arg=${BUS_ARG} +high_speed=${HIGH_SPEED} \
  -assert_enable_diag +vcs+lic+wait \
  +UVM_TESTNAME=${TEST} +UVM_VERBOSITY=${VERB} \
  +ntb_random_seed=${SEED} \
  -l ${TEST_LOG}/${TEST_ID}.log

regr:
  make comp
  make run TEST=test1
  make run TEST=test2
  make run TEST=test3

 

posted on 2022-03-08 22:23  知北游。。  阅读(104)  评论(2编辑  收藏  举报

导航