1-【IMP】sv assertion示例

1.sv assertion使用

sv assertion的使用涉及以下内容:

(1) sv assertion的构建(参考《SystemVerilog Assertions应用指南》一书);

(2) sv assertion的放置位置;

assertion除了可以放置在module内,也可以放在interface中;

SystemVerilog Assertion 设计、调试、测试总结(2) - Zhangxianhe - 博客园 (cnblogs.com)

(3) sv assertion的波形dump;

断言波形显示_Shu_0223的博客-CSDN博客

FSDB Dumper - _9_8 - 博客园 (cnblogs.com)

(10条消息) SVA加载波形设置_Charlie *的博客-CSDN博客

2.sv assertion示例(不含波形)

2.1 代码

(1)为了在波形中查看assertion的情况,使用了$fsdbDumpSVA函数;

2.2 仿真命令

(1) 编译+仿真

vcs -sverilog -kdb test.sv -fsdb +fsdb+sva_success -R -l vcs.log (加上highlight部分,可以显示sva success的事件)

(2) 启动verdi

verdi -dbdir simv.daidir/ -ssf test.fsdb

 

posted on 2021-11-22 16:20  _见贤_思齐  阅读(660)  评论(0编辑  收藏  举报

导航