[CU]时钟1-可变时钟
资料来源:
(1) 《UVM实战》
1.不同测试用例时钟频率不同,同一测试用例时钟频率保持不变;
1 //my_case0.sv 2 function void my_case0::build_phase(uvm_phase phase); 3 ... 4 uvm_config_db#(real)::set(this,"","clk_half_period",200.0); 5 ... 6 endfunction 7 8 //top_tb.sv 9 initial begin 10 static real clk_half_period=100.0; 11 clk=0; 12 #1; 13 if(uvm_config_db#(real)::get(uvm_root::get(),"uvm_test_top","clk_half_period",clk_half_period)) 14 `uvm_info("top_tb",$sformatf("clk_half_period is %0f",clk_half_period),UVM_MEDIUM) 15 16 forever begin 17 #(clk_half_period*1.0ns) clk=~clk; 18 end 19 end
2.同一测试用例中存在时钟频率变换的情况,但是不关心过渡期时间段的时钟频率,而只关心过渡期前和过渡期后的时钟频率;
1 //my_case0.sv 2 task my_case0::main_phase(uvm_phase phase); 3 #100000; 4 uvm_config_db#(real)::set(this,"","clk_half_period",200.0); 5 #100000; 6 uvm_config_db#(real)::set(this,"","clk_half_period",150.0); 7 endtask 8 9 //top_tb.sv 10 initial begin 11 static real clk_half_period=100.0; 12 clk=0; 13 fork 14 //thread1 15 forever begin 16 uvm_config_db#(real)::wait_modified(uvm_root::get(),"uvm_test_top","clk_half_period"); 17 void'(uvm_config_db#(real)::get(uvm_root::get(),"uvm_test_top","clk_half_period",clk_half_period)); 18 `uvm_info("top_tb",$sformatf("clk_half_period is %0f",clk_half_period),UVM_MEDIUM) 19 end 20 //thread2 21 forever begin 22 #(clk_half_period*1.0ns) clk=~clk; 23 end 24 end
3.同一测试用例中存在时钟频率变换的情况,但是既关心过渡期前和过渡期后的时钟频率,也关心过渡期时间段的时钟频率;
【推荐】编程新体验,更懂你的AI,立即体验豆包MarsCode编程助手
【推荐】博客园携手 AI 驱动开发工具商 Chat2DB 推出联合终身会员
【推荐】抖音旗下AI助手豆包,你的智能百科全书,全免费不限次数
【推荐】轻量又高性能的 SSH 工具 IShell:AI 加持,快人一步