[CU]时钟1-可变时钟

资料来源:

(1) 《UVM实战》

1.不同测试用例时钟频率不同,同一测试用例时钟频率保持不变;

复制代码
 1 //my_case0.sv
 2 function void my_case0::build_phase(uvm_phase phase);
 3     ...
 4     uvm_config_db#(real)::set(this,"","clk_half_period",200.0);
 5     ...
 6 endfunction
 7 
 8 //top_tb.sv
 9 initial begin 
10     static real clk_half_period=100.0;
11     clk=0;
12     #1;
13     if(uvm_config_db#(real)::get(uvm_root::get(),"uvm_test_top","clk_half_period",clk_half_period))
14         `uvm_info("top_tb",$sformatf("clk_half_period is %0f",clk_half_period),UVM_MEDIUM)
15 
16     forever begin
17         #(clk_half_period*1.0ns) clk=~clk;
18   end
19 end
复制代码

2.同一测试用例中存在时钟频率变换的情况,但是不关心过渡期时间段的时钟频率,而只关心过渡期前和过渡期后的时钟频率;

复制代码
 1 //my_case0.sv
 2 task my_case0::main_phase(uvm_phase phase);
 3     #100000;
 4     uvm_config_db#(real)::set(this,"","clk_half_period",200.0);
 5     #100000;
 6     uvm_config_db#(real)::set(this,"","clk_half_period",150.0);
 7 endtask
 8 
 9 //top_tb.sv
10 initial begin 
11     static real clk_half_period=100.0;
12     clk=0;
13   fork
14         //thread1
15         forever begin
16             uvm_config_db#(real)::wait_modified(uvm_root::get(),"uvm_test_top","clk_half_period");
17             void'(uvm_config_db#(real)::get(uvm_root::get(),"uvm_test_top","clk_half_period",clk_half_period));
18             `uvm_info("top_tb",$sformatf("clk_half_period is %0f",clk_half_period),UVM_MEDIUM)
19         end
20         //thread2
21         forever begin
22           #(clk_half_period*1.0ns) clk=~clk;
23         end
24 end
复制代码

3.同一测试用例中存在时钟频率变换的情况,但是既关心过渡期前和过渡期后的时钟频率,也关心过渡期时间段的时钟频率;

 

posted on   知北游。。  阅读(264)  评论(0编辑  收藏  举报

< 2025年1月 >
29 30 31 1 2 3 4
5 6 7 8 9 10 11
12 13 14 15 16 17 18
19 20 21 22 23 24 25
26 27 28 29 30 31 1
2 3 4 5 6 7 8

导航

统计

点击右上角即可分享
微信分享提示