摘要: EDA工具 : vcs2014.03 软件平台 : ubuntu 16.04 由于vcs2014只支持gcc4.4的版本,而ubuntu16.4的gcc是5.4,所以需要先把gcc的版本降到4.4。所以整个安装过程即 1. gcc版本降到4.4 2. 安装vcs gcc回退到4.4 先添加ppa的源 阅读全文
posted @ 2017-06-01 01:13 换个马甲学IC 阅读(2922) 评论(0) 推荐(0) 编辑