上一页 1 ··· 5 6 7 8 9 10 下一页

2010年7月29日

0欧姆电阻的重要作用,PCB工程一定要知道的

摘要: 1,在电路中没有任何功能,只是在PCB上为了调试方便或兼容设计等原因。2,可以做跳线用,如果某段线路不用,直接不贴该电阻即可(不影响外观)3,在匹配电路参数不确定的时候,以0欧姆代替,实际调试的时候,确定参数,再以具体数值的元件代替。4,想测某部分电路的耗电流的时候,可以去掉0ohm电阻,接上电流表,这样方便测耗电流。5,在布线时,如果实在布不过去了,也可以加一个0欧的电阻6,在高频信号下,充当电... 阅读全文

posted @ 2010-07-29 22:03 CrazyBingo 阅读(1877) 评论(4) 推荐(1) 编辑

Altium Designer 发现的机密

摘要: 进入电子设个世界,PCB是少不了的东西,刚开始画板子的时候,感觉好神奇。那个时候用的是Altium Designer Summer 08 ,现在用的是Altium Designer Winnter 09 ,感觉跟Altium Designer 6.9 也没多大差别,只是功能上更新了点,视觉上变得更加炫了。偶尔逛论坛,或者自己发现,有好未知的秘密,放在这里,已知的未知的,Let‘s see see。(1)、在pcb布局阶段:在原理图中框选一个区域的元件或点选若干个元件、快截键“t”+“s”能迅速切换到pcb界面选中那些元件,然后按快截键“i”后选择菜单第二项用鼠标在你想要的地方拖一个框,那些元件 阅读全文

posted @ 2010-07-29 18:58 CrazyBingo 阅读(11008) 评论(3) 推荐(9) 编辑

FPGA_MCU双系统系复位冲突

摘要: FPGA_MCU双系统系复位冲突 郁闷了1天,为什么不行呢,苍天无眼,我该如何面对…… 不就是想通过单片机给个信号嘛,使得Verilog工程里面的某些变量复位,使得MCU—FPGA联调更加的智能和谐,可是,可是…… 为啥仿真行的,实际验证就一直为0,一直就不行了呢? 郁闷省略号…… 请见下文: RST_n是FPGA本身板载按键信号,Reg_Clr是MCU给的信号,但是为什么不行呢?? ... 阅读全文

posted @ 2010-07-29 14:38 CrazyBingo 阅读(909) 评论(1) 推荐(1) 编辑

1Hz ~~ 250MHz的感觉

摘要: 1Hz ~~ 250MHz的感觉做频率计,高频的,250M 左右没问题,再次测试,可是实验室没有20M以上的信号源给我用,怎么办办呢?? 在嚎啕大哭的时候,难言,只能用FPGA产生频率给CPLD 来接收,250M没问题吧。 CLK_Deaign = Matlab_Keys + CLK_Generater; 在这个过程中发现了好多问题 (1) Matlab_Keys (2) CLK_Generate... 阅读全文

posted @ 2010-07-29 08:15 CrazyBingo 阅读(1775) 评论(6) 推荐(1) 编辑

2010年7月26日

DAC908E ,恨你但又爱你

摘要: DAC908E ,恨你但又爱你想当年校赛的时候,题目200K 的任意波,而我却眼高手低,说要突破10M,信誓旦旦。 然则,终究,还是,失败了。最后还是用了DAC0832做了400K 的。郁闷以及悔恨。 究其原因,只有一个答案,眼高手低! 当然,那时候几天不睡觉,人也傻逼了。虽然得了个2等,但是丝毫没有自豪感,遗憾啊。 考试考完之后,还是不忍心,拿起DAC908E,DAC902E,DAC092U,L... 阅读全文

posted @ 2010-07-26 22:09 CrazyBingo 阅读(2075) 评论(1) 推荐(2) 编辑

新出炉的运放,我适合学这个吗?

摘要: “虚短”、“虚断”和“虚地”三个概念主要用于分析(理想)集成运放器的工作状态  由于运放的电压放大倍数很大,一般通用型运算放大器的开环电压放大倍数都在80 dB以上。而运放的输出电压是有限的,一般在 10V~14V。因此运放的差模输入电压不足1 mV,两输入端近似等电位,相当于 “短路”。开环电压放大倍... 阅读全文

posted @ 2010-07-26 13:57 CrazyBingo 阅读(1119) 评论(2) 推荐(1) 编辑

虚短”“虚断”两板斧,搞定运算放大器 11张大图详(转)

摘要: 算放大器组成的电路五花八门,令人眼花瞭乱,是 模拟电路中学习的重点。在分析它的工作原理时倘没有抓住核心,往往令人头大。为此本人特搜罗天下运放电路之应用,来个“庖丁解牛”,希望各位从事电路板维 修的同行,看完后有所斩获。遍观所有模拟电子技朮的书籍和课程,在介绍运算放大器电路的时候,无非是先给电路来个定性,比如这是一个同向放大器,然后去推导它的输出与输入的关系,然 后得出Vo=... 阅读全文

posted @ 2010-07-26 09:35 CrazyBingo 阅读(35009) 评论(8) 推荐(5) 编辑

2010年7月25日

SystemVerilog 2005 语法

摘要: 按位与  :  &逻辑与  :  &&(1)if( (hcnt>=656)&& (hcnt<752) ) 与 if( 656<=hcnt<752 )  Verilog中不能同时判断连个条件 ,在实际测试中,发现用后一种就会出问题,因为不能同时判断2个,否则 默认为条件成立  必须用逻辑与,2个判断合起来,就OK 了,  跟C语言不一样... 阅读全文

posted @ 2010-07-25 11:11 CrazyBingo 阅读(1031) 评论(0) 推荐(1) 编辑

2010年7月21日

我在哪里啊?

摘要: 好多天没来这里了,呜呜呜呜……  实在不是我不想来,是哥哥没时间啊……  一方面要电子设计集训,另一方面又要游泳集训,我木有什么办法……  再者,还有些琐事,so on……    终于,昨天,每天几千米的游泳训练可以暂时休息10天了,在这之间想去的时候还是可以去飘一会,财经游泳馆长的还可... 阅读全文

posted @ 2010-07-21 08:34 CrazyBingo 阅读(866) 评论(2) 推荐(1) 编辑

2010年6月25日

等效终端电阻——阻抗匹配

摘要: 阻抗匹配: 终端电阻在通信中的作用:终端电阻是为了消除在通信电缆中的信号反射。 在通信过程中,有两种原因因导致信号反射:阻抗不连续和阻抗不匹配。 阻抗不连续,信号在传输线末端突然遇到电缆阻抗很小甚至没有,信号在这个地方就会引起反射。这种信号反射的原理,与光从一种媒质进入另一种媒质要引起反射是相似的。消除这种反射的方法,就必须在电缆的末端跨接一个与电缆的特性阻抗同样大小的终端电阻,使电缆的阻抗连续。... 阅读全文

posted @ 2010-06-25 19:36 CrazyBingo 阅读(2878) 评论(0) 推荐(1) 编辑

2010年6月24日

FPGA/CPLD状态机稳定性研究

摘要: 摘要 在FPGA/CPLD设计中频繁使用的状态机,常出现一些稳定性问题,本文提出了一些解决方法,实验表明该方法有效地提高了综合效率.  随着大规模和超大规模FPGA/CPLD器件的诞生和发展,以HDL(硬件描述语言)为工具、FPGA/CPLD器件为载体的EDA技术的应用越来越广泛.从小型电子系统到大规模SOC(Systemonachip)设计,已经无处不在.在FPGA/CPLD设计中,状态机是最典... 阅读全文

posted @ 2010-06-24 22:03 CrazyBingo 阅读(1275) 评论(0) 推荐(1) 编辑

2010年6月20日

哪些人适合做FPGA开发?

摘要: FPGA目前非常火,各个高校也开了FPGA的课程,但是FPGA并不是每个人都适合,FPGA讲究的是一个入道,入什么道 ,入电子设计的道,就是说,这个过程,你得从电子设计开始,然后再学FPGA,而不是先从VHDL开始,直接跳过数电模电.这一点非常重要,这涉及到你以后的发展高度的问题.我是过来人,我深刻体会到FPGA与数电模电的基础的深层次联系.对于本科生而言,你可以把FPGA当作业余兴趣,但不要把它当成今后的饭碗,你可以保持这个兴趣直到研究生读完.从我招聘的情况来看,做FPGA的至少要读过研究生。 做FPGA,一定要学,一定要有兴趣,至少要学3年才算可以掌握这门技术,为什么这么说呢,我每天与F. 阅读全文

posted @ 2010-06-20 21:41 CrazyBingo 阅读(7056) 评论(15) 推荐(4) 编辑

2010年6月13日

一个我崇拜的人----特权

摘要: 某一年暑假,因为用FPGA的那些事儿,认识了这样的一个人,网名“特权”,给了我启蒙。     而今再次观摩此人,令我崇拜。。。        他的网名    :“特权”    他的归宿    :    他的博客    :http://blog.ednchina.com/ilove314/    他的视频    :http://www.verycd.c... 阅读全文

posted @ 2010-06-13 20:57 CrazyBingo 阅读(1598) 评论(2) 推荐(1) 编辑

2010年6月5日

最完美的ASCII 表

摘要: Text only语言: Ascii码表(全)ASCII Table (7-bit)(ASCII = American Standard Code for Information Interchange) Decimal Octal Hex Binary Value ------- ----- --- ------ ----- 000 000 000 00000000 NUL (Null cha... 阅读全文

posted @ 2010-06-05 11:46 CrazyBingo 阅读(603) 评论(2) 推荐(1) 编辑

2010年6月1日

DAC0832的悲剧故事

摘要: 做一个DDS信号发生器,1HZ到1M频率,步进可调,设为16阶基频,幅度可调,用AD603控制,最终方案确定:MSP430+EP240T100C5N+X9104+AD603+NE5532+...完美的方案,完美的程序,完美的板子,完美的梦想。。。左边插一个CPLD,通过MSP430来控制,得到信号来输出需要的频率S1:选择波形S2:选择基频(16阶)S3:选择步进(1Hz,10Hz,100Hz,1KHz,10KHz,100KHz)S4:频率加S5:频率减5110:显示/*************/ 波形:正弦波 基频:10KHz 步进:100Hz NE5532+X9104+继电器:滤波放大处理 阅读全文

posted @ 2010-06-01 11:14 CrazyBingo 阅读(2049) 评论(6) 推荐(1) 编辑

2010年5月23日

TL431 真的是好东西啊

摘要: TL431封装 2.5 V 基准源 可调稳压源 大电流稳压源  阻值取值:R0取1.5K,R1、R2分别取10K,按结果,应得到5V的输出电压。Vin使用12V,实测电压为5V。Vin使用24V,实测电压 5V(我的3 1/2位电表的显示值),因此,此种器件的精度很高。接入负载,在C、A端并接负载电阻,Vin用12V。当负载电阻大于2K时,输出电压几乎看不出任何变化。当电阻小于2K时,输出电压开始... 阅读全文

posted @ 2010-05-23 21:47 CrazyBingo 阅读(1895) 评论(3) 推荐(1) 编辑

2010年5月21日

RST_n的问题

摘要: 有一个灰常郁闷的问题。。。module CLK_Generater( input CLOCK_100, input RST_n, input Key, output reg [3:0] CLK_DivChoose, );reg [19:0] count; //Delay_10msreg CLK_100Hz; //100HZ(10ms)时钟信reg [2:0] state; //状态标志 reg ... 阅读全文

posted @ 2010-05-21 16:34 CrazyBingo 阅读(2475) 评论(5) 推荐(2) 编辑

2010年5月17日

奋斗、忘却、不放弃,我的人生又会是怎样?

摘要: 真心希望中国的IT事业越走越好!--------这些日子我一直在写一个实时*作系统内核,已有小成了,等写完我会全部公开,希望能够为国内IT的发展尽自己一份微薄的力量。最近看到很多学生朋友和我当年一样没有方向 ,所以把我的经历写出来与大家共勉,希望能给刚如行的朋友们一点点帮助。 一转眼我在IT行业学习工作已经七年多了,这期间我做过网页,写过MIS、数据库,应用程序,做过通信软件、硬件驱动、协议栈,到... 阅读全文

posted @ 2010-05-17 22:30 CrazyBingo 阅读(1198) 评论(4) 推荐(3) 编辑

三极管相关资料

摘要: 三极管相关资料三极管相关资料... 1 三极管的作用... 1 三极管的封装... 2 DIP(PNP)... 4 DIP(NPN)... 5 三极管的作用 晶体三极管,是最常用的基本元器件之一,晶体三极管的作用主要是电流放大,他是电子电路的核心元件,现在的大规模集成电路的基本组成部分也就是晶体三极管。三极管基本机构是在一块半导体基片上制作两个相距很近的PN结,两个PN结把正块半导体分成三部分,中... 阅读全文

posted @ 2010-05-17 21:20 CrazyBingo 阅读(4467) 评论(2) 推荐(1) 编辑

郁闷的ASP!郁闷的EPCS!

摘要: 郁闷的ASP!郁闷的EPCS啊!  自己画的板子,就是因为有时候做东西,MCU+FPGA/CPLD联调,EPM240T100C5N已经不够我用了,与其画一块更大资源的CPLD,还不如花一块性价比最高的FPGA,于是我选择了EP2C5T144C8N。   画了板子,投了深圳,等板子又等元器件,终于有一天万事俱备,只欠东风,于是昨天静下心来喊了一块板子,挺漂亮的哈哈,不过心惊胆战,怕不行,因为第一次画... 阅读全文

posted @ 2010-05-17 20:09 CrazyBingo 阅读(1302) 评论(6) 推荐(1) 编辑

上一页 1 ··· 5 6 7 8 9 10 下一页

导航