摘要: 在编电子表时发现FPGA求余,取模只能针对2的次方。毕竟是数字的嘛!时钟用到了动态刷新数码管。以一个大于50Hz的速度刷新每一个数码管。因为数码管只有四个,只写了分针和秒针。代码如下: 1 library IEEE; 2 use IEEE.STD_LOGIC_1164.ALL; 3 use IEEE.STD_LOGIC_ARITH.ALL; 4 use IEEE.STD_LOGIC_UNSIGNED.ALL; 5 6 entity clock is 7 Port ( clk : in STD_LOGIC; 8 seg : out STD_LOGIC_VECT... 阅读全文
posted @ 2014-03-30 15:05 connorzx 阅读(398) 评论(0) 推荐(0) 编辑
摘要: 转载请注明出处:http://www.cnblogs.com/connorzx/p/3633860.html分频是基于计数器程序。由于FPGA的并行处理能力,根本不需要单片机式的中断指令,用起来很方便。数码管是靠段选和片选控制的。即所有数目管公用一套八段LED选择端,每个管的亮灭靠片选控制。只要刷新... 阅读全文
posted @ 2014-03-30 15:00 connorzx 阅读(1700) 评论(0) 推荐(0) 编辑