摘要: #1、输入延迟资源(IDELAY) 赛灵思7系列的原语IDELAY,通常用于对输入时钟数据进行时延,以满足代码时序需要。如果对FPGA代码进行时序约束,idelay会自动添加。如果没有进行时序约束就需要手动添加idleay以满足时序要求。例如在写以太网RGMII数据链路层接收端时就需要用到IDELA 阅读全文
posted @ 2022-03-04 00:02 AdriftCore 阅读(3327) 评论(0) 推荐(1) 编辑