摘要: 一、基本操作 STEP1:quaturs ——> tool ——> TimeQuest Timing Analyzer STEP2:Create Timing NetList(创建时序网表) STEP3:读取SDC文件(设计约束文件) STEP4:查看报告/创建时钟约束 (1)查看报告 report 阅读全文
posted @ 2021-02-09 00:09 AdriftCore 阅读(655) 评论(0) 推荐(1) 编辑