vivado+vscode配置流程

一、配置前准备

二、替换vivado默认编辑器

这里引用《小梅哥Xilinx FPGA自学教程V2.0》中关联使用"第三方编辑器notpad++"相关操作步骤
把notpad++换成vscode就行了





vscode相关配置

D:/ProgrammingTool/Microsoft VS Code/Code.exe [file name] -n[line number]

三、verilog扩展实现vscode语法高亮

  • 扩展ID mshr-h.veriloghdl 可以复制该ID在vscode扩展商店搜索,或者直接输入verilog搜索,点击安装即可

四、给vscode扩展配置vivado语法自动纠错

这里借鉴了前人的经验 链接 第二步 实现自动纠错
注释,环境变量怎么配置
桌面->我的电脑->右键->属性->更改设置->高级->环境变量->用户变量里,选中变量名为path的->编辑->新建->......
具体自行百度"环境变量"

注释,自动纠错要在文本保存之后才会纠错,没有保存是看不到效果的

注释,添加完成后命令行输入

  • 可以win+r 输入cmd回车完成验证
  • 也可以在vscode中,ctrl+`调出终端完成验证

注释,将verilog的Linter更换成xvlog

齿轮->扩展设置->ctrl+f搜索关键字或者直接往下拉就出来了

五、使用vscode自动生成testbench仿真文件

还是借鉴前人的经验 链接 步骤三、自动生成Testbench
到这一步,仿真文件是需要在命令行复制粘贴的

六、Verilog_TestBench + python的chardet包实现仿真文件输出到文件夹

参考链接1 步骤四、进一步优化
参考链接2 自动生成Testbench

注释:
python安装教程
chardet安装注释:如果网速良好的话,在python环境配置成功后直接在cmd中输入:pip install chardet就可以线上安装,更为方便

注释:
win10打开powershell的方式
win + x + a
输入code $profile即可编辑
链接中的powershell脚本代码,只需要改这一行

这个路径的查找方式是直接搜索:vTbgenerator

这里推荐一个方便快捷的盘内搜索软件:everything

小插曲:
在编辑好脚本之后出现了无法加载的问题

解决方法

posted @ 2021-01-16 12:25  AdriftCore  阅读(5824)  评论(0编辑  收藏  举报