代码改变世界

FPGA对输入信号上升沿或下降沿检测原理和Verilog代码

2019-07-31 11:35  斑鸠,一生。  阅读(8725)  评论(0编辑  收藏  举报

目标:当输入信号,产生下降沿或下降沿时,能在下一个时钟周期得到响应。

                     

 

                           下降沿检测                                                                                                                  上升沿检测

步骤:1、将输入信号打两拍                                                                             步骤:1、将输入信号打两拍

   2、将第拍信号取反并与第二拍信号相与                            2、将第拍的信号取反与第一拍信号相与

   3、得到的高电平就是指示信号                                                                        3、得到的高电平就是指示信号

代码: