摘要: --VHDL上机的一个作业,程序太长实验报告册上写不下了。于是就在博客上留一份吧。LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CLOCK ISPORT(CLK1S,SET,SWC,CLK1MS,RST:IN STD_LOGIC; S0,S1,M0,M1,H0,H1:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); BEEP:OUT STD_LOGIC; PNS,PSS,PSM,PSH:OUT STD_LOGIC);END CLOCK;ARCHI... 阅读全文
posted @ 2013-11-17 19:49 77695 阅读(638) 评论(0) 推荐(0) 编辑