摘要: 经常使用notepad++的编辑器编写verilog代码,经常调用modelsim的进行基本编码输入检查 。但是每次都手动打开modelsim软件既费时间又由于启动modelsim GUI占用系统比较大的内存, 于是博主就经过研究notepad++工具,找到了一个直接在notepad++ 客户端运行 阅读全文
posted @ 2021-12-02 21:01 大块头 阅读(607) 评论(1) 推荐(0) 编辑