摘要: xilinx OBUFDS 使用和仿真 发送代码: library ieee; use ieee.std_logic_1164.all; Library UNISIM; use UNISIM.vcomponents.all; entity LVDS_TX_TEST is port ( xc7_clk 阅读全文
posted @ 2016-09-21 16:36 chuanchuan304 阅读(2009) 评论(0) 推荐(0) 编辑
摘要: xilinx IBUFDS 使用和仿真 接收代码: 以下代码的功能为:接收16位的LVDS差分信号接收: library IEEE; use IEEE.STD_LOGIC_1164.ALL; library ieee; use ieee.std_logic_1164.all; Library UNI 阅读全文
posted @ 2016-09-21 16:34 chuanchuan304 阅读(7882) 评论(0) 推荐(0) 编辑
摘要: (1) ADDRESS_RADIX=DEC ; %设置地址基值(实际就是地址用什么进制的数表示) 可以设为BIN(二进制),OCT(八进制),DEC(十进制),HEX(十六进制),UNS(无符号数) 用verilog模拟DDS产生正弦波信号 http://www.cnblogs.com/christ 阅读全文
posted @ 2016-09-21 11:09 chuanchuan304 阅读(2096) 评论(0) 推荐(0) 编辑