摘要: xilinx OBUFDS 使用和仿真 发送代码: library ieee; use ieee.std_logic_1164.all; Library UNISIM; use UNISIM.vcomponents.all; entity LVDS_TX_TEST is port ( xc7_clk 阅读全文
posted @ 2016-09-21 16:36 chuanchuan304 阅读(2004) 评论(0) 推荐(0) 编辑
摘要: xilinx IBUFDS 使用和仿真 接收代码: 以下代码的功能为:接收16位的LVDS差分信号接收: library IEEE; use IEEE.STD_LOGIC_1164.ALL; library ieee; use ieee.std_logic_1164.all; Library UNI 阅读全文
posted @ 2016-09-21 16:34 chuanchuan304 阅读(7847) 评论(0) 推荐(0) 编辑
摘要: (1) ADDRESS_RADIX=DEC ; %设置地址基值(实际就是地址用什么进制的数表示) 可以设为BIN(二进制),OCT(八进制),DEC(十进制),HEX(十六进制),UNS(无符号数) 用verilog模拟DDS产生正弦波信号 http://www.cnblogs.com/christ 阅读全文
posted @ 2016-09-21 11:09 chuanchuan304 阅读(2075) 评论(0) 推荐(0) 编辑
摘要: 本文借鉴 小墨学FPGA 的文章 《零基础学FPGA( 三十二) 写在京城,多级FIR半带滤波器的FPGA实现 》 地址是: http://bbs.elecfans.com/forum.php?mod=viewthread&tid=509022 假设我们的合成信号是由100,300和600hz的正弦 阅读全文
posted @ 2016-09-20 14:43 chuanchuan304 阅读(3104) 评论(0) 推荐(0) 编辑
摘要: 使用FPGA时,可以在testbench中将输入信号直接赋值给输出信号,以形成环路,具体用法如下: wire [15:0] lvds_rx_buf; assign lvds_rx_buf[15:0] = lvds_tx[15:0]; initialbegin #0 lvds_rx[15:0] = 1 阅读全文
posted @ 2016-09-18 10:25 chuanchuan304 阅读(1216) 评论(0) 推荐(0) 编辑
摘要: 1. 读操作 读操作用到的语句是:$readmemb()或$readmemh()。 以$readmemb()为例,它的调用为 $readmemb("file_name",memory) file_name:可以是.txt、.doc等格式的文件,但必须遵守ROM的规范,即其内容形式必须如下: @00 阅读全文
posted @ 2016-09-18 10:18 chuanchuan304 阅读(1213) 评论(0) 推荐(0) 编辑