verilog behavioral modeling--overview

1.verilog behavioral models contain procedural statements that control the simulation and manipulate variables of the data types.These statements are concurrent to model the inherent concurrence of hardware.

2.all of the flows defined by the initial and always constructs start together at simulation time zero.The initial constructs execute once,and the always constructs execute repetitively.

eg:

   module behave;

      reg [1:0] a,b;

      initial begin

          a='b1;

          b='b0;

      end

    always begin

        #50 a = ~a;

    end

   always begin

       #100 b=~b;

  end

  endmodule

 

posted @ 2014-11-03 19:37  CHIPER  阅读(289)  评论(0编辑  收藏  举报