2012年9月12日

FPGA flow

摘要: FPGA flow下的工具组合有很多。常见的一般是Modelsim + Synplify pro + ISE(Quartus)。关于烧写前的仿真一般是分为两种,前仿真和后仿真。摘录一段(from 真OO无双)由於FPGA可重複編程,所以不少開發人員就不寫testbench,直接使用QuartusII的programmer燒進開發板看結果,或者使用QuartusII自帶的WaveformEditor進行仿真,這種方式雖然可行,但僅適用於小project,若project越寫越大,QuartusII光做fitter就很耗時間,一整天下來都在作QuartusII編譯。比較建議的方式,還是學ASIC那 阅读全文

posted @ 2012-09-12 07:18 单向度的人 阅读(1021) 评论(0) 推荐(0) 编辑

Sparc 10 with Simics

摘要: 手头上的t$mc 180nmmemory compiler只在sparc 平台下被支持,这就意味着需要在x86系统下模拟sparc OS。网上的解决方案是simics(注意,VMWare是无法解决这个问题的)。还需要分清的是Solaris 有两种系统,一个是Sparc平台,一个是x86平台。Sparc平台的Solaris 10 下载地址:http://eduunix.ccut.edu.cn/index2/unixsystem/Solaris/sol-10-u2-ga-sparc-v4/Simics 的版本是3.0.29,在WIN7下安装的时候会报错,所以我首先做的是troubleshootin 阅读全文

posted @ 2012-09-12 05:46 单向度的人 阅读(960) 评论(0) 推荐(0) 编辑

MTI Further

摘要: 以前学习modelsim,就是仿真看看波形,高级的features基本上没用过,当然也不是很清楚有什么含义,经过一段时间的学习,基本上对几种波形也有所了解了。各大EDA公司都是有自己的格式,VCD是标准,FSDB是专利,只能在verdi下面看(verdi -ssf xx.fsdb)前仿,后仿都非常的有用。synopsys下面看vcs的波形就是用vpd(dve -vpd vcdplus.vpd &),如果是放到modelsim和cadence下面,格式就分别变成了wlf和shm。观看VCD文件有个比较好的开源工具,叫做gtkwave。除此之外都是各家通过vcd2wlf(mti),转化为自 阅读全文

posted @ 2012-09-12 04:26 单向度的人 阅读(587) 评论(0) 推荐(0) 编辑

2012年9月7日

[转载] 关于Astro和Encounter的讨论

摘要: 注:这是08年的发帖,两个工具都已经演化为IC Compiler和Encounter Design Implementation。由于Synopsys在08年推出了新的router, zroute, 所以P&R的结果要优于EDI,但是DRC的问题也多一些,如果是就floorplanning,还是EDI要好些。(这些比较参见eetop)发信人:freelife(陪你一起老),信区:METech标题:【原创】Astro--倚天屠龙--Encounter发信站:水木社区(WedMar2609:15:262008),站内起个唬人的名字而已,无意挑起Synopsys和Cadence的战争,恐怕没 阅读全文

posted @ 2012-09-07 23:56 单向度的人 阅读(1306) 评论(0) 推荐(0) 编辑

Perl log 0906

摘要: 1. comment and uncommentthis page is very comprehensive about commenting in vim.After trial and error, the plugin alternative is chosen.http://www.straw-dogs.co.uk/01/05/comment-multiple-lines-in-vim/Below is how-to use the plugin, multiple-line comment and uncomment(not applicable) description Gl.. 阅读全文

posted @ 2012-09-07 12:53 单向度的人 阅读(261) 评论(0) 推荐(0) 编辑

2012年5月22日

[基础]Verilog的$readmemx介绍

摘要: $readmemx的格式$readmemx("./filename", <memname>, <<start_addr><,<finish_addr>>?>?);简单的代码moduledata_read;reg[31:0]mem[0:11];integeri;initial$readmemh("./data.txt",mem);initialbeginfor(i=0;i<12;i=i+1)$display("%d:%h",i,mem[i]);endendmoduleda 阅读全文

posted @ 2012-05-22 06:49 单向度的人 阅读(3742) 评论(0) 推荐(1) 编辑

2012年4月7日

ASIC interview websites[cont.]

摘要: ASIC worldhttp://asic.co.in/ASIC and VLSI Job Seekers Paradise welcomes youSystemVerilog.intestbench.inhttp://ratnendrapandey.com/asic_interview_questions/asic_questions.htmlhttp://www.asicguru.com/http://only-vlsi.blogspot.com/http://fullchipdesign.com/interview.htmhttp://www.angelfire.com/in/rajes 阅读全文

posted @ 2012-04-07 12:27 单向度的人 阅读(300) 评论(0) 推荐(0) 编辑

[转载] 我对验证的一些理解

摘要: 原文来自: eetop, link 下面这些问题和回答是基于我个人对验证(主要是动态仿真验证)的理解,可能有理解的不到位、理解有偏差的地方,欢迎大家指正。我是synopsys的用户,所以下面描述的大多是针对synopsys的工具。欢迎发邮件到lshj98115@sohu.com和我讨论验证的问题。Q:验证的目的?A:发现Bug,发现所有的Bug,或者证明没有Bug(转自夏晶的帖子)Q:对验证工程师的要求?Hacker mentality,Organized testing,Tool automation。 如何做更多的testcase、如何覆盖更多的测试点、如何充分的利用服务器、如何尽可能.. 阅读全文

posted @ 2012-04-07 06:25 单向度的人 阅读(726) 评论(0) 推荐(0) 编辑

2012年4月6日

SV program与module的区别

摘要: A module and a program block carry many similarities.Similar to a module, a program block may have zero or more inputs, outputs and inout ports.A program block can contain zero or more initial blocks, cont assignments, generate and specparam statements, concurrent assertions and timunit declarations 阅读全文

posted @ 2012-04-06 04:08 单向度的人 阅读(1078) 评论(0) 推荐(0) 编辑

2012年4月5日

NRZI encode

摘要: NRZI diagram[2]First part is encoder, second part is decoder. Small modification is in the bit_stream sampling part.Some comments:1. use DFF to buffer the input2. use 1'b1 instead of 1, because during synthesis, 1 will be viewed as integer type:example, like adder, cnt + 1'b1; 1'b1 will 阅读全文

posted @ 2012-04-05 12:14 单向度的人 阅读(613) 评论(0) 推荐(0) 编辑

导航