2012年4月6日

SV program与module的区别

摘要: A module and a program block carry many similarities.Similar to a module, a program block may have zero or more inputs, outputs and inout ports.A program block can contain zero or more initial blocks, cont assignments, generate and specparam statements, concurrent assertions and timunit declarations 阅读全文

posted @ 2012-04-06 04:08 单向度的人 阅读(1078) 评论(0) 推荐(0) 编辑

导航