上一页 1 2 3 4 5 6 7 8 9 ··· 48 下一页
摘要: OS WIN7vivado 2015.4vivado自带的仿真器vivado project 包含一个block design, block design 中包含AXIPCIE, MIG, INTERCONNECTOR, 第三方IP,AXI APB BRIGE仿真并保存所有信号到wdb文件的步骤:1 阅读全文
posted @ 2018-04-12 15:32 yf869778412 阅读(3521) 评论(0) 推荐(0) 编辑
摘要: Step 1 安装好modelsim,并将modelsim的目录添加到系统PATH中。 (确认方法:在任意位置同时按下Shift+鼠标右键,在出来的菜单里选择“在此处打开命令窗口”,然后输入vsim,如果能启动modelsim,说明设置正确。否则,要在系统的环境变量里添加modelsim目录下的wi 阅读全文
posted @ 2018-04-12 15:31 yf869778412 阅读(1307) 评论(0) 推荐(0) 编辑
摘要: 1.基于BASYS3板子,有如下代码: module top( input clk, input rst, output test_clk ); parameter DIV_CNT = 2; reg clk25M; reg [31:0] cnt = 0; always@(posedge clk)be 阅读全文
posted @ 2018-04-12 15:28 yf869778412 阅读(6038) 评论(0) 推荐(0) 编辑
摘要: 很简单的,app_en和app_rdy一握手,代表MIG接受了一个写数据请求或者读数据请求,只要保证app_en和app_rdy握手,根本就不关心写数据rdy,这是MIG的一个bug,你看它源码就知道。。。这样做,就把写命令和写数据的rdy信号统一了,减少了接口逻辑的复杂度。 http://bbs. 阅读全文
posted @ 2018-04-12 15:11 yf869778412 阅读(480) 评论(0) 推荐(0) 编辑
摘要: https://rocketboards.org/ 阅读全文
posted @ 2018-04-12 15:06 yf869778412 阅读(228) 评论(0) 推荐(0) 编辑
摘要: http://cecaraw.pku.edu.cn/research/calendar/epee/#publications https://sourceforge.net/projects/epeev2/files/ 阅读全文
posted @ 2018-04-12 14:50 yf869778412 阅读(650) 评论(0) 推荐(0) 编辑
摘要: Vivado和ISE相比ChipScope已经大幅改变,很多人都不习惯。在ISE中称为ChipScope而Vivado中就称为in system debug。下面就介绍Vivado中如何使用debug工具。 Debug分为3个阶段:1. 探测信号:在设计中标志想要查看的信号2. 布局布线:给包含了d 阅读全文
posted @ 2018-04-12 14:20 yf869778412 阅读(5926) 评论(0) 推荐(0) 编辑
摘要: 1 Overview Core支持单个Pcie内核的Loopback功能,该功能主要为了做芯片验证,以及在没有远程接收器件的情况下完成自己的回环。同时,Core也支持有远程接收器件的loopback,在该中情况下,远程接收器件称为loopback slave。该种模式可以用来完成板级的debug,B 阅读全文
posted @ 2018-04-10 11:38 yf869778412 阅读(3620) 评论(0) 推荐(0) 编辑
摘要: vivado保存debug波形 Vivado下debug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现: write_hw_ila_data 0730_ila_1 [upload_hw_ila_data hw_ila_1] write_ 阅读全文
posted @ 2018-04-10 11:10 yf869778412 阅读(1380) 评论(0) 推荐(0) 编辑
摘要: 作者:陈秋苑 谢晓锋 陈海焕 广州虹科电子科技有限公司 摘 要:EtherCAT 是开放的实时以太网通讯协议,由德国倍福自动化有限公司研发。EtherCAT 具有高性能、低成本、容易使用等特点,目前在工业自动化领域有着广泛的应用。Zynq-7000 是赛灵思公司(Xilinx)推出的行业第一个全可编 阅读全文
posted @ 2018-04-08 16:39 yf869778412 阅读(10587) 评论(1) 推荐(0) 编辑
上一页 1 2 3 4 5 6 7 8 9 ··· 48 下一页