摘要: verilog 语句不可综合和可综合汇总(转) (1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。 (2)所有综合工具都不支持的结构:time,defparam, 阅读全文
posted @ 2012-12-17 10:44 catarget 阅读(951) 评论(0) 推荐(0) 编辑