摘要: 在modelsim中调用PLL IP核时,出现一个现象:输出时钟一直是高阻态z,检查工程之后发现: 输入时钟一切正常 复位信号正常 testbench没有任何问题 run.do文件一切正常 问题波形: 最后,发现是因为在ip文件夹(该工程存放所调用的IP核的文件)下有个文件gen_fclk_bb.v 阅读全文
posted @ 2021-11-30 10:33 ChaoyaWang 阅读(292) 评论(0) 推荐(0) 编辑