modelsim 读写txt文件方法

 

 

1. 读取数据方法:

	reg [DATAIN_WIDTH-1:0] mem[9999:0];
	//读数据
	initial begin
	    $readmemh("data.txt",mem);
	end

  


2. 写入数据到txt方法,这里的datavalid为写入数据有效信号

initial begin
fd = $fopen("datanew.txt");
repeat(10000) begin
@(posedge datavalid) begin
datain = mem[i];
i = i + 1;
end
end
$stop ;
end
always @(i) begin
$fdisplay(fd,"%d",dataout);
end

  

 

posted @ 2022-11-29 13:30  ChaoyaWang  阅读(729)  评论(0编辑  收藏  举报