(原创)uart传输发送模块联合在一起进行测试

      完整uart发送与接收模块以后,为了便于测试我把发送模块连接在了一起进行测试,接收到的数据SRX_I是以16倍波特率的方式即(CLK_1)传入uart_rx,我用16倍的频率CLK_16进行采样,然后每8位data输出到到uart_tx,然后通过tuart_tx把data中的数据依次输出即STX_O,但是我们STX_O的输出是以CLK_16为时钟的这样只是为了便于简单,不用再考虑这两个module(uart_tx,uart_rx)之间不同时钟信号的同步化。在我们分开用的时候,我们的输出STX_O应该是以CLK_1

为时钟周期。

注:其实本人已经做了两个module(uart_tx,uart_rx)之间不同信号的同步化,但发觉如果这样,会增加很多代码,还有很多同步化后信号延迟的问题,所以没有给出STX_O以CLK_1的时钟形式输出,有兴趣的同学可以给我留言,我可以给出相关同步化的代码。

仿真波形如下:

模块代码如下:

Code

 

testbench代码如下:

 

Code
posted @ 2009-01-05 21:53  changlongbaobao  阅读(337)  评论(0编辑  收藏  举报