(原创)system verilog——uart发送模块的设计

仿真结果如下:

p_start是验证从载入要发送的数据到发送低有效的起始位这段时间的时序是否正确,,p_shift是验证从准备好开始发送到发送过程中

的数据的九次移位是否正确,p_state是跟踪发送过程中状态机的状态。

具体代码如下:

 

Code

 

testbench如下:

 

Code

 

以上代码都已通过调试,调试环境为modelsim6.3f

posted @ 2009-01-05 17:36  changlongbaobao  阅读(941)  评论(0编辑  收藏  举报