(原创)system verilog——加法树乘法器

加法树乘法器的system verilog的实现,由于逻辑功能简单明了,只用了简单的断言验证,

如果有疑问请给我留言

Code
完整代码:  

mult_sv.rar

posted @ 2008-12-24 11:47  changlongbaobao  阅读(1166)  评论(6编辑  收藏  举报