2013年1月2日

FPGA中.mif文件的创建和使用

摘要: FPGA设计中ROM的应用时比较常见的,在调用ROM时经常要加载mif文件,对于初学者,无论mif还是hex都是很令人疑惑的东西,这里就对mif文件的格式及其创建做一点简单的说明。mif在fpga设计中试memory initialization file 的缩写,中文意思就是存储器初始化文件。直接说就是给rom赋值的文件。先看一个简单的mif文件的内容(可以用记事本将mif文件打开,看到里面的代码):DEPTH=256; %存储器的纵向容量,就是存多少个数据,本例中是256个WIDTH=8 ; %存储器的横向宽度,就是每个数据多少位,8位宽ADDRESS_RADIX=DEC ; %设置地址基 阅读全文

posted @ 2013-01-02 09:51 追寻前人的脚步 阅读(9103) 评论(0) 推荐(0) 编辑

导航