基于单片机三角波发生器仿真设计

1463下载地址 https://docs.qq.com/doc/DWEpnR2pOWU91b3p4?pub=1&dver=2.1.0

#include "Eint.h"
//sbit LED=P3^7;

void Eint0() interrupt 0  //加
{
   if(A_F_Select=='A')  
	 {
	    switch(boxing)
			{
			    case 0:
					{
					   fangbo.A=(fangbo.A>=5)?5:++fangbo.A;
					}
					break;
					case 1:
					{
					   triger.A=(triger.A>=5)?5:++triger.A;
					}
					break;
					case 2:
					{
					   sin.A=(sin.A>=5)?5:++sin.A;					  
					}
					break;

 

 

 

posted @ 2021-03-16 18:58  roadroad  阅读(210)  评论(0编辑  收藏  举报
电设屋
上千套电子毕设课设方案