2012年8月24日

[转帖]ModelSim+Debussy仿真(Verilog)

摘要: 来源:http://xucraft.blog.163.com/blog/static/105715340200982455226272/?fromdm&fromSearch&isFromSearchEngine=yesModelSim+Debussy仿真(Verilog)2009-09-24 17:52:26| 分类: 默认分类 | 标签: |字号大中小订阅利用ModelSim进行仿真,用Debussy查看仿真波形。Debussy进行debug的优点网上已有论述。下面说明仿真步骤:1.Debussy可以直接使用fsdb文件显示仿真波形。因此,在写Testbench时,加入以下语 阅读全文

posted @ 2012-08-24 21:07 海之深 阅读(646) 评论(0) 推荐(0) 编辑

导航