1: library IEEE; 2: Use IEEE.std_logic_1164.all; 3: Use IEEE.numeric_std.all; 4: 5: entity VHSEG7_Controller is 6: generic (SEG7_NUM: integer :=8; 7: DATA_WIDTH: integer:=32; 8: ADDR_WIDTH: integer :=3 9: );10: port(csi_clockreset_clk: in std_logic;11: csi_clockreset_reset_n: in std_logic;12: avs_s. Read More
posted @ 2010-12-08 09:13 CanY Views(446) Comments(0) Diggs(0) Edit