禾雨

导航

2019年10月17日 #

断言stable

摘要: $stable是SVA提供的3个内嵌函数之一,用于检查信号的边沿变化,当信号/表达式的最低位不发生变化时返回真值。 stable_a用于检查信号a不变的情况,它只在“a在当前时钟周期为一个电平,在前一个时钟周期也为同样电平”的情况下成功 sequence stable_s; @(posedge cl 阅读全文

posted @ 2019-10-17 12:53 禾雨 阅读(3326) 评论(0) 推荐(0) 编辑