禾雨

导航

2019年8月21日 #

uvm_object之uvm_barrier(二)

摘要: 【转载】原文链接:https://www.sohu.com/a/140684109_778637 uvm_barrier应用 在SV章节中,对于多个线程的同步除了可以通过semaphore和mailbox来进行,也可以通过fork-join的结构控制语句块来控制整体的运行节奏。然而,对于UVM环境中 阅读全文

posted @ 2019-08-21 18:10 禾雨 阅读(332) 评论(0) 推荐(0) 编辑

SV 之 关联数组

摘要: module coarr; bit[63:0] rom[bit[63:0]] ; // 构建关联数组 bit[63:0] idx ; // 相对应的索引 function automatic void print(bit[63:0] pos, ref bit[63:0] rom[bit[63:0]] 阅读全文

posted @ 2019-08-21 17:28 禾雨 阅读(3602) 评论(0) 推荐(0) 编辑

uvm_object之uvm_barrier(一)

摘要: uvm_barrier是一个处理进程间同步的类,该类中会设置一个event,只有threshold以上个进程都在等待event事件发生的时候才触发event时间,并让每个等待的事件返回。 virtual task wait_for();某个进程可以调用这个函数等待barrier同步num_waite 阅读全文

posted @ 2019-08-21 15:49 禾雨 阅读(441) 评论(0) 推荐(0) 编辑

UVM Component之Subscriber

摘要: class uvm_ip_subscriber extends uvm_subscriber; //這裡使用FIFO通信而不是IMP,大大降低了工作量 uvm_tlm_analysis_fifo#(dma_trans) dma_monitor_to_sub_fifo[1]; extern funct 阅读全文

posted @ 2019-08-21 15:26 禾雨 阅读(748) 评论(0) 推荐(0) 编辑