禾雨

导航

UVM超时退出

【转载】自链接:https://blog.csdn.net/tingtang13/article/details/46518143

UVM平台跑用例出现挂起,可通过uvm_root 的set_timeout 设置超时时间:

uvm_top.set_timeout(500ms,0);

 

2.命令行

<sim command> +UVM_TIMEOUT=<timeout>,<overridable>

表示是否被覆盖,可以使YES or NO。

<sim command> +UVM_TIMEOUT="300ns, YES"

 

posted on 2019-11-27 16:40  禾雨  阅读(2397)  评论(0编辑  收藏  举报