摘要: string strs[] = {"one","one","two","three","three","four"};vector vs(strs,strs+6);unique(vs.begin(),vs.end());for(vector::iterator vi = vs.begin();vi!... 阅读全文
posted @ 2014-03-23 22:45 bupt_lyn 阅读(214) 评论(0) 推荐(0) 编辑