摘要: override功能是UVM中一个比较重要的功能,这个功能也是在factory里面实现的,我们会在env或者具体的case中使用override功能。class case_x extends base_test; function void build_phase(uvm_phase phase); … set_type_override_by_type(my_dri... 阅读全文
posted @ 2014-08-06 21:07 啊松 阅读(9292) 评论(1) 推荐(0) 编辑
摘要: factory机制的一大特点就是根据类的名字来创建类的实例。factory 机制中根据类名来创建类的实例所用到的技术:一是参数化的类,二是静态变量和静态函数。这两者是factory机制实现的根本所在。UVM 中有两大关键类,uvm_object 和 uvm_component。一个 uvm_object 在定义时一般要调用 uvm_object_utils 宏,而一个 uvm_component ... 阅读全文
posted @ 2014-08-06 21:06 啊松 阅读(5482) 评论(0) 推荐(0) 编辑