摘要: 1、单比特信号上升沿检测 module pos_detect( input wire clk , input wire rst , input wire in_a , output reg in_pos ); reg reg_in_a ; always@(posedge clk or posedge 阅读全文
posted @ 2023-09-04 09:53 super_sweet 阅读(21) 评论(0) 推荐(0) 编辑