摘要: 5、More Verilog Features 5.1、conditional ternary operator 给定四个无符号数,求最小值。无符号数可以与标准比较运算符(a < b)进行比较。使用条件运算符制作两路最小电路,然后将其中的一些组成一个四路最小电路。您可能需要一些线向量作为中间结果。 阅读全文
posted @ 2022-04-07 21:07 super_sweet 阅读(56) 评论(0) 推荐(0) 编辑
摘要: 3、Module:Hierarchy 3.1、Modules 下图显示了一个带有子模块的非常简单的电路。在本练习中,创建一个module实例mod_a,然后将模块的三个引脚(in1、in2和out)连接到顶层模块的三个端口(电线a、b和out)。该模块mod_a是为您提供的——您必须实例化它。 模块 阅读全文
posted @ 2022-04-07 19:37 super_sweet 阅读(124) 评论(0) 推荐(0) 编辑