摘要: fpga通过pll倍频产生200M时钟,来测量高频率信号源,不知道为啥加到500M就有问题了,测量的fx比实际值要小,正常的话每一兆有3hz的误差,40M的话大约有120Hz的误差,满足2015年产生10-4的要求,贴一下代码。 阅读全文
posted @ 2017-05-21 21:19 peng_blog 阅读(265) 评论(0) 推荐(0) 编辑