摘要: 本篇基本是韦东山书上的 一、内存管理单元MMU介绍 内存管理单元简称MMU,它负责虚拟地址到物理地址的映射,并提供硬件机制的内存访问权限检查。MMU使得每个用户进程拥有自己独立的地址空间,并通过内存访问权限的检查保护每个进程所用的内存不被其他进程破坏。 重点就在于地址映射:页表的结构与建立、映射的过 阅读全文
posted @ 2017-01-16 17:12 biaohc 阅读(595) 评论(0) 推荐(0) 编辑