第三次作业 采用DDS(数字频率合成法)设计信号发生器,完成设计方案。

 

一、系统方案设计

      信号发生采用直接数字频率合成方法(DDS)。直接数字频率合成(DDS)是指从相位概念出发直接合成所需波形。它是由美国学者J.TierncyC.M.Rader和B.Gold1971年提出来的,并随着技术和器件水平的提高,得到了飞速的发展。它以有别于其它频率合成

方法的优越性能和特点成为现代频率合成技术中的佼佼者:(1)它具有非常快的频率切换速度。目前,DDS的调谐时间一般在ns级,比使用其它的频率合成方法都要短数个数量级;(2)它其有极高的频率分辨率。大多数DDS的分辨率在HZ、mHZ至μHZ的数量级;(3)它具有优良的低相位噪声和低漂移性能。DDS系统中合成信号的频率稳定度直接由参考源的频率稳定度决定,而在大多数DDS系统应用中,一般由固定的晶振来产生基准频率,所以其相位噪声和漂移特性是极为优异的。另处,DDS还具有一系列如:连续的相位变化、在极宽的频带范围内输出幅度平坦的信号和易于集成、易于调整等优点。

DDS技术是一种把一系列数字量形式的信号通过DAC转换成模拟量形式的信号的合成技术。文中DDS的数字合成正弦波的基本工作原理就是将一个正弦波信号分为512个点,按一定的速率依次周期性输出每一点的正弦波幅值对应的数据,将该数据通过高速D/A转换后以得到一个周期的正弦波信号,并通过改变每一点正弦波幅值数据输出的速率来改变正弦波信号源的频率。

二、DDS 基本工作原理

  DDS 的基本原理是利用采样定理,通过查表法产生波形。

  相位累加器在每一个时钟上升沿与频率控制字K累加一次,当累加器计数大于2N 时,相位累加器相当于进行一次取模运算。在每一个时钟周期内,根据送给ROM 的地址,取出ROM 中与该地址相对应的波形的数据,读取出ROM 中的数据后通过D/ A 转换器,将数字量转换成模拟量,通过低通滤波电路,可输出一个平滑的波形。

  当K = 1 时,DDS 有最小频率输出,因此DDS 的步长为f clk / 2N ,最大输出频率为f clk / 2。在本设计中,将N 设定为10 位,M 设定为12 位,相位累加器在时钟的控制下,以步长K 进行累加,输出N 位二进制码,并以其作为波形ROM 的地址,对波形存储器ROM 寻址,波形存储器ROM 输出的数据经过D/ A 转换成阶梯波后,经过低通滤波器平滑后,便得到合成后的波形了,合成后的波形形状取决于波形选取和ROM 中的数据。

      从DDS 核输出的是一个数字信号,需要将数字信号转换成模拟信号,才能便于观察。DAC 有电压和电流输出两种,其输出的信号并不能真正连续可变,而是以其绝对分辨率为最小单位,所以它的输出实际上是一个阶梯模拟信号,所以需要将D/ A 输出的信号通过低通滤波器平滑后得到纯净的模拟信号。在该设计中用到型号为AD9742 的D/ A 转换器,AD9742 是具有12 位、低功耗、转换速度可达到100 Mb/ s 的D/ A 转换器。

 

posted @ 2017-03-23 10:52  bgd140206317  阅读(255)  评论(1编辑  收藏  举报