2016年5月9日

摘要: Abstract 本文介绍UVM框架,并以crc7为例进行UVM的验证,最后指出常见的UVM验证开发有哪些坑,以及怎么避免。 Introduction 本例使用环境:ModelSim 10.2c,UVM-1.1d,Quartus II 13.1(64 bit),器件库MAX V 1. UVM介绍 对 阅读全文
posted @ 2016-05-09 23:13 bettty 阅读(46932) 评论(3) 推荐(4) 编辑

导航