10

搞笑面试对答

考官;windows 7专业版在中国大陆的零售价是多少?
我:5元
考官:出去,下一位

放弃这两个字在哥的字典里面 就没有出现过,
我投啊投啊,
终于得到了google面试的机会
但是,去google面试,才回答一个问题就又被赶出来 了...
考官:你从哪得到google面试的消息
我:百度的
考官:出去,下一位
 
哥郁闷了,但是还是要先 养活自己啊。
拖朋友找到了麦当劳的工作。。
但是对方很变态,让我唱麦当劳的歌曲,
当时哥就笑了,麦当劳的歌曲我从小就会。
于是我张口就来:有了肯德基,生活好滋味!
考官:出去~~~~~~~~~
麦当劳面试失败了。

我妈妈拖人找 了一个移动客服的工作,
妈妈说这个不要技术,你先试试,我想都没想就答应了。
面试很顺利,对方也很欣赏我,最后考官对我说:
你 很不错,请留下你的电话,我们好通知你上班。
我:“132......."
考官:出去。。。。
 
哥心都碎了。。。失 业这么久,吃家里的,喝家里的。
家人看我的眼光都带着一点无奈。
走到一家商城,看到阿迪正在找店员,我想我去试试应该可以的
考 官:请说出我们的口号,
我:just do it
考官:出去,下一位。
 
一次次的失败,并没有打击......

posted on 2010-05-20 20:11  believe  阅读(424)  评论(0编辑  收藏  举报

导航

/* * Name: SyntaxHighlighter.brushes.Verilog * Author: Yuphone Chang * Email: yuphone@qq.com/ * Create Date: 5.18, 2010 */ SyntaxHighlighter.brushes.Verilog = function() { var datatypes = 'reg integar unsigned ' + 'wire tri wand triand tri0 tri1 supply0 supply1 trireg ' + 'parameter specparam defparam event '; var primitives = 'and nand or nor xor xnor ' + 'buf not ' + 'bufif0 bufif1 notif0 notif1 ' 'pullup pulldown ' + 'pmos rpmos nmos rnmos '; var keywords = 'module endmodule ' + 'input output inout ' + 'begin end ' + 'assign deassign always initial genvar ' + 'forever repeat disable wait ' + 'function endfunction' + 'task ' + 'endtask ' + 'generate endgenerate ' + 'specify endspecify ' + 'posedge negedge ' + 'if else for while ' + 'case casex casez endcase default ' + 'include timescale ' + 'ifdef endif ' + 'celldefine endcelldefine ' + 'attribute ' 'fork join '; var functions = 'display displayb displayo displayh ' + 'write writeb writeo writeh ' + 'strobe strobeb strobeh strobeo ' + 'monitor monitorb monitoro monitorh ' + 'fopen fclose ' + 'readmemb readmemh ' + 'finish stop ' + 'time stime realtime timeformat ' + 'printtimescale ' + 'setup hold setuphold skew recovery period width '; this.regexList = [ // one line comments { regex: SyntaxHighlighter.regexLib.singleLineCComments,css: 'comments' }, // multiline comments { regex: SyntaxHighlighter.regexLib.multiLineCComments, css: 'comments' }, // double quoted strings { regex: SyntaxHighlighter.regexLib.doubleQuotedString, css: 'string' }, // single quoted strings { regex: SyntaxHighlighter.regexLib.singleQuotedString, css: 'string' }, // constants { regex: new RegExp("[0-9]+['][bBoOdDhHeEfFtT][0-9a-fA-FzZxX_]+", 'g'), css: 'constants' }, // datatypes { regex: new RegExp(this.getKeywords(datatypes), 'gm'), css: 'color1 bold' }, // primitives { regex: new RegExp(this.getKeywords(primitives), 'gm'), css: 'color2 bold' }, // keywords { regex: new RegExp(this.getKeywords(keywords), 'gm'), css: 'keyword bold' }, // functions { regex: new RegExp(this.getKeywords(functions), 'gm'), css: 'functions bold' } ]; }; SyntaxHighlighter.brushes.Verilog.prototype = new SyntaxHighlighter.Highlighter(); SyntaxHighlighter.brushes.Verilog.aliases = ['verilog', 'v'];