【转】【FPGA】xilinx IOBUF的用法

【FPGA】xilinx IOBUF的用法

 

在vivado中,连接的管脚的信号一般都会自动添加OBUF或IBUF。

但是对于inout类型的接口,不会主动添加IOBUF,因为in/out切换需要控制信号,需要用户自己分配好。

在Language Template中能找到IOBUF的标准实例:

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
// IOBUF: Single-ended Bi-directional Buffer
//        All devices
// Xilinx HDL Language Template, version 2017.2
    
IOBUF #(
      .DRIVE(12), // Specify the output drive strength
      .IBUF_LOW_PWR("TRUE"),  // Low Power - "TRUE", High Performance = "FALSE"
      .IOSTANDARD("DEFAULT"), // Specify the I/O standard
      .SLEW("SLOW"// Specify the output slew rate
   ) IOBUF_inst (
      .O(O),     // Buffer output
      .IO(IO),   // Buffer inout port (connect directly to top-level port)
      .I(I),     // Buffer input
      .T(T)      // 3-state enable input, high=input, low=output
   );

  

我们现在实例化一个叫 inout spi_io[0]的双向口

1
2
3
4
5
6
7
8
9
10
11
IOBUF #(
            .DRIVE(12), // Specify the output drive strength
            .IBUF_LOW_PWR("TRUE"),  // Low Power - "TRUE", High Performance = "FALSE"
            .IOSTANDARD("DEFAULT"), // Specify the I/O standard
            .SLEW("SLOW"// Specify the output slew rate
    ) IOBUF_inst_io0 (
            .O(s_din[0]),     // IO_pad输入。管脚经过IBUF缓冲输出到内部信号
            .IO(spi_io[0]),   // pad接口/管脚
            .I(s_dout[0]),    // 输出到IO_pad。内部信号经过OBUF缓冲到管脚
            .T(x_writ_read)   // 当IO_pad需要输入的时候,使能OBUF使其输出高组态。
    );

  

1、原语中的O/I都是针对这个BUF来说的,不是针对管脚,务必注意。

我们把 .IO() 端口当成pad管脚一侧,那么

需要输出到io的内部信号填入到 .I(),通过OBUF缓冲输出到.IO()管脚;

从.IO()管脚输入进来的信号经过IBUF缓冲到 .O()内部信号。

输入信号想要正确,那么这个时候的OBUF必须是高阻z,也就是 .T()要有效。

所以  .T() 填管脚input的使能条件,即让输出无效,这里是read。

 2、原语只支持一个信号的处理,如果处理多位总线,需要用到循环语句。

1
2
3
4
5
6
7
genvar i;
generate
    for(i=0;i<8;i=i+1)
        begin
            // iobuf
         end
endgenerate

posted @ 2018-08-16 13:33  巴渝男儿  阅读(11787)  评论(0编辑  收藏  举报