Le vent se lève, i|

大浪淘沙、

园龄:7年1个月粉丝:50关注:19

10 2024 档案

时序约束和综合+跨时钟产生的问题+spyglass的使用+SOC设计问题
摘要:时序约束和综合 时钟频率 # 时钟单位为ns,2ns对应500M时钟频率 create_clock -period 2 [get ports clk] skew # 设置时钟的skew,即上升沿之间的误差,当前设置为0.3ns set_clock_uncertainty -setup 0.3 [ge
279
0
0
uvm_event的变量传递+查看软链接的指向+grep只打印匹配的数据+并行进程的串行化--构建进程池+UVM动态复位问题+VIP动态复位注意事项
摘要:uvm_event的变量传递 uvm_event可以传递变量,但是变量需要为uvm_object类型,对于package,建议类型向下转换,直接传递uvm_object,并在另一端解析 https://www.edaplayground.com/x/RhYc module testbench; cl
106
1
1
对UVM添加超时前的打印信息+AXI低功耗接口+process的await语句+对象当成参数+sv的单例模式+关联数组的初始化+VIM的正则表达式+grep的或操作+uvm event的电平触发+二维队列降维到平铺状态
摘要:对UVM添加超时前的打印信息 首先获取到UVM的超时值,然后手动设定$time的比较和while延时循环,当超出时间后,打印特殊的debug信息。 $time < set_time,则进行循环。 uvm_cmdline_processor clp; clp = uvm_cmdline_proces
57
0
0
深色
回顶
收起
点击右上角即可分享
微信分享提示