Le vent se lève, il|

大浪淘沙、

园龄:7年1个月粉丝:50关注:19

随笔分类 -  最近日常

时序约束和综合+跨时钟产生的问题+spyglass的使用+SOC设计问题
摘要:时序约束和综合 时钟频率 # 时钟单位为ns,2ns对应500M时钟频率 create_clock -period 2 [get ports clk] skew # 设置时钟的skew,即上升沿之间的误差,当前设置为0.3ns set_clock_uncertainty -setup 0.3 [ge
279
0
0
uvm_event的变量传递+查看软链接的指向+grep只打印匹配的数据+并行进程的串行化--构建进程池+UVM动态复位问题+VIP动态复位注意事项
摘要:uvm_event的变量传递 uvm_event可以传递变量,但是变量需要为uvm_object类型,对于package,建议类型向下转换,直接传递uvm_object,并在另一端解析 https://www.edaplayground.com/x/RhYc module testbench; cl
106
1
1
对UVM添加超时前的打印信息+AXI低功耗接口+process的await语句+对象当成参数+sv的单例模式+关联数组的初始化+VIM的正则表达式+grep的或操作+uvm event的电平触发+二维队列降维到平铺状态
摘要:对UVM添加超时前的打印信息 首先获取到UVM的超时值,然后手动设定$time的比较和while延时循环,当超出时间后,打印特殊的debug信息。 $time < set_time,则进行循环。 uvm_cmdline_processor clp; clp = uvm_cmdline_proces
57
0
0
MBIST和BISR+循环移位和强制转换+verdi操作+vip需要disable auto recording+vim设置某行高亮+python模拟find命令
摘要:MBIST和BISR https://blog.csdn.net/liubin1222/article/details/103995449 https://zhuanlan.zhihu.com/p/161185302 进行内存修复需要两步:首先在可修复内存测试期间,由MBIST控制器诊断出的故障。第
111
0
0
将wavedrom图转换为excel+UVM使用双顶层环境+慎用casex和casez使用case inside+shell命令行自动补全+操作系统的分段机制+ASID的功能+查看CPU信息
摘要:将wavedrom图转换为excel 原理上是先转换为json,然后写入到excel中。 import csv import json import pandas as pd content = [] with open("source.txt") as f: c = f.read() c = c.
64
0
0
UVM宏解释+odt文件转doc+merge命令和difflib+python调用命令+clog2和系统函数+java添加classpath++ ${1+$@}的用法+uvm1.1和uvm1.2的starting_phase+add_reg和configuration的属性控制
摘要:UVM宏解释 UVM_DISABLE_AUTO_ITEM_RECORDING https://blog.csdn.net/MGoop/article/details/127295965 item record的方法主要是用于记录事务信息的,原理是调用accept_tr, begin_tr, end_
71
0
0
综合的各种类型文件+综合过程+帮助
摘要:综合的各种类型文件 https://blog.csdn.net/b18821622297/article/details/119751768 https://blog.csdn.net/weixin_41788560/article/details/117024372 .db文件: .lib 的二进
708
0
0
sed的&操作符+复盘和把经验转化为能力+sed替换关联环境变量+SystemC+C++的struct和class+C语言嵌入多行汇编+Makefile定义命令包+dump fsdb波形
摘要:sed命令操作 sed的&操作符 sed一般是按行操作,如果匹配到,那么匹配到的内容被表示为&。 以下是在单行操作中,想要实现在某行数据特定字符前后插入字符的方法。 sed替换关联环境变量 环境变量参与到变量的替换过程中: (使用双引号) CHAR=B echo -e "A\nBC\nCD" | s
32
0
0
Verdi信号平移+研发管理体系+malloc和calloc函数区别+使用__FILE__只打印文件名+使用inline替换#define的注意项+I2C和I3C的区别+always时序逻辑的另一种写法
摘要:Verdi信号平移 信号左移 是将光标移动在双引号以内的信号名左边,然后先输入数字,可以带上单位,如[ns|n]、[ps|p],然后按<<-按键。 https://blog.csdn.net/qq_40268672/article/details/132915499 信号右移 信号右移是数字在右边,
76
0
0
codeblock快捷键+VS code快捷键+DW_minmax文件+莱文斯坦距离+char* 和 char[]区别+可增删constraint的实现方法+$fatal的传参+巴科斯范式命令行语法+ralgen生成的寄存器模型存在覆盖率的警告(uvm-1.2)
摘要:codeblock快捷键 ctrl+G 跳转。 ctlr+J 生成补全。 cygwin用于获得开发环境。注意安装路径要对,特殊字符路径和codeblocks不兼容。 codeblock返回上一处:点击光标即可。 VS code快捷键 Shift Alt F:vs code格式化代码 Ctrl Shi
50
0
0
SATA基础+更改终端颜色+PCI.ids位置+Linux和Windows的scanf+C语言C++的局部变量与全局变量的重名问题+多个C文件编入到SV中+UVM event pool的例化+ar、nm和ranlib
摘要:SATA基础 https://zhuanlan.zhihu.com/p/554251608 物理信号 物理层功能 时钟恢复:对于高频传输,一般是采用差分信号传输,并且没有单独的时钟,时钟存在于编码内部 串并转换:对于高频传输,串联信号可以做到更高的频率。 字节对其:8/10 编码转换的10bit对其
68
0
0
EDA工具使用+GIT操作+python编程+C语言编程+Riscv相关+TCL操作
摘要:EDA工具使用 Verdi覆盖率转网页 urg -full64 -dir simv.vdb Verdi加载session verdi -ssr sessionFile Vcs分部编译 额外选项 -partcomp:自动分块编译。 -fastpartcomp:使用多核计算系统并行部分编译。 -pcma
148
0
0
编程周边辅助
摘要:Vim操作 删除空行 :g/^/dg/d :%s/^\s*$\n// 非贪婪匹配 .\{-} vim查看当前与改动 :w !diff % - # 然后如果还需要合并,那就只能:w一个新的
14
0
0
C语言数据类型占用字节大小+modport存在的意义+传输延迟和惯性延迟+上下拉+forwarding和bypass+流水线的冒险
摘要:C语言数据类型占用字节大小 最大整形宽度是8字节。 modport存在的意义 似乎modport的存在没有意义了。只是将信号变得更冗长。但是又是有意义的,因为modport里的赋值变化是没有延迟的,而clocking受到配置的影响。 https://blog.csdn.net/hh199203/ar
53
0
0
C语言数据类型占用字节大小+rand_mode/randomize_mode/static constraint+I2C和SPI的选中方式+HTT超线程技术
摘要:C语言数据类型占用字节大小 https://blog.csdn.net/sinan1995/article/details/79577106 对于整形,最大8字节,超出8字节的计算,要么用库,要么不用。 64位编译器: char/unsigned char :1字节 char *:8字节 short
41
0
0
sv的LSB 使用+SV的protect类型+RAL模型的lock原因+C语言结构体中的冒号用法+uvm版本在退出机制的区别+sv的random的seed生效问题+verdi的reserve的debug+vcs禁用打印屏幕输出+清空seqr中的seq+sv使用process开启进程和结束
摘要:sv的LSB 使用 https://blog.csdn.net/gsjthxy/article/details/90722378 等价关系 [LSB+:STEP] = [LSB+STEP:LSB] 伪代码: bit [1023:0] mem; bit [7:0] data; j = 0..100 m
137
0
0
chisel安装和使用+联合体union的tagged属性+sv读取文件和显示+sv获取系统时间+vcs编译时改动parameter的值+tree-PLRU和bit-PLRU
摘要:chisel安装和使用 sbt:scala build tool,是scala的默认构建工具,配置文件是build.sbt。 mill:一个新的java/scala构建工具,运行较快,与sbt可以共存,配置文件是build.sc。 chisel的安装可以参考这篇文章。安装过程务必联网,而没有联网情况
192
0
0
adoc转换html+UPF低功耗仿真例子+python转换C代码+readmemh的@使用
摘要:adoc转换html adoc这种格式是很多riscv文档使用的格式,该格式可以生成pdf,生成html。 生成html的好处是,选中和翻译方便,复制粘贴方便。 首先是gem软件要安装,这个软件似乎是ruby相关的(RubyGems is a package manager for the Ruby
94
0
0
VCS代码保护+SOC中的复位电路+verdi生成部分原理图+verdi查看delta cycle+自定义的原语Primitives UDP+assert和cover+specify和路径延迟+参数三姐妹-parameter-localparam-specparam +时间单位和时间精度的打印
摘要:VCS代码保护 在新思公司的一些vip的实现中,一些代码进行了加密,导致无法查看源码,加密的方法也是使用新思的工具VCS。 在编译的命令行添加+protect选项,在代码前后加上编译指示,则生成对应的加密vp、svp文件,中间的部分被加密。 https://blog.csdn.net/woodhor
1083
0
0
Verdi波形查看transaction+门控时钟+时钟切换+vcs的ucli+斐波那契LFSR和伽罗瓦LFSR
摘要:Verdi波形查看transaction 除了以下两个选项以外, +UVM_TR_RECORD +UVM_LOG_RECORD 还需要声明+UVM_VERDI_TRACE选项 +UVM_VERDI_TRACE=UVM_AWARE+RAL+TLM+MSG+HIER+PRINT 否则使用的是VC的环境抓
570
0
0
1 2 3 4 5 ··· 7 下一页
深色
回顶
收起
点击右上角即可分享
微信分享提示