2010年1月16日

关于MODELSIM 中的 $display问题

摘要: 我用Modelsim对Verilog程序进行仿真,在程序中用了“$Display“,想要显示自己感兴趣的一些变量的情况,可一旦仿真开始,除了能用wave窗口看到波形,却哪里也找不到用$display命令想要显示的东西。经过一番搜索与求教,发现在编译、仿真之后在transcript 里的命令行里打run -all 就显示了。也不清楚我的做法对不对,欢迎大家指教。 如图 阅读全文
posted @ 2010-01-16 21:48 雨后晨光 阅读(2089) 评论(1) 推荐(1) 编辑

我的首篇日志 DE1 照片

摘要: 下面是我的DE1的盒子和实物照片,手机拍的可能不清晰,见谅,呵呵。 阅读全文
posted @ 2010-01-16 14:16 雨后晨光 阅读(126) 评论(0) 推荐(1) 编辑