modelsim中 No objects found matching(转)

modelsim 6.2 常见问题

 

1、在6.2以前的所有版本中,modelsim安装目录下的modelsim.ini文件中,VoptFlow选项是默认被注释掉,也就是关闭的,而在6.2系列版本中,默认是打开的,这就可能导致仿真时出现问题。

VoptFlow在ini文件中的描述是:Set to turn on automatic optimization of a design.
但是如果打开,在用modelsim仿真时,可能出现不能把object添加到wave中去的问题,用add wave all命令的话,会提示

# .main_pane.mdi.interior.cs.vm.paneset.cli_0.wf.clip.cs.pw.wf
# ** Error: (vish-4014) No objects found matching '*'.
# Error in macro ./stopwatch_tb.fdo line 19
# (vish-4014) No objects found matching '*'.
# while executing
# 'add wave *'

必须把ini文件中的这句
VoptFlow = 1
注释掉,或者把1改为0,方可解决问题。
不是很清楚为什么打开优化选项后会产生这种问题,也许是bug

posted on 2010-01-25 16:41  雨后晨光  阅读(2266)  评论(1编辑  收藏  举报