摘要: VHDL中的数据转换函数conv_std_logic_vector的用法 std_logic_arith程序包里定义的数据转换函数:conv_std_logic_vector(A,位长)--INTEGER,SINGER,UNSIGNED转换成std_logic_vector。 由于参考书上都没有具体 阅读全文
posted @ 2020-02-08 12:16 小牛铺 阅读(2445) 评论(0) 推荐(0) 编辑