摘要: 在Capture CIS中完成原理图编辑修改后,导出网表时,出现了以下错误:#192 ERROR(ORCAP-36004): Conflicting values of part name found on different sections of "U1".Conflicting values: EP4CE75F23C8N_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8N & EP4CE75F23C8N_4_FG484_1D0_12X12MM_(S1+S2+S3+S4)_EP4CE75F23C8NProperty val 阅读全文
posted @ 2013-04-18 21:33 asus119 阅读(8644) 评论(0) 推荐(0) 编辑